زمان کنونی: ۲۸ اردیبهشت ۱۴۰۳, ۰۲:۵۶ ق.ظ مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن می‌توانید عضو شوید.
گزینه‌های شما (ورودثبت نام)

BCD

ارسال:
  

mohammadsoltani پرسیده:

BCD

فرض کنید سه عدد BCD به عنوان ورودی دارید،مقدار میانه(median)این سه عدد را در یک ۷_segmentنشان دهید.
انرژی مثبت، در تاریخ ۲۱ فروردین ۱۳۹۲ ۰۹:۳۱ ب.ظ برای این مطلب یک پانوشت گذاشته است:

محل سوالتون نادرسته. صرفا به این دلیل که پاسخ داده شده، منتقلش می کنم. لطفا از این به بعد در محل ایجاد تاپیک دقت کنید.

سوال رو در بخش"سوالات و درخواست های اعضا" در هر درس مطرح کنید.
ضمنا عنوان تاپیک رو به نحوی انتخاب کنید که گویای سوالتون باشه.
با تشکر

مشاهده‌ی وب‌سایت کاربر

۱
ارسال:
  

azad_ahmadi پاسخ داده:

BCD

سلام. فکر می کنم مکان طرح سوال مناسب نیست.(مطمئن نیستم).
برای جواب به این سوال باید ابتدا میانه رو بلد باشیم که چی هست.
میانه به این صورت تعریف میشه :

""""در آمار و نظریه احتمالات میانه نوعی سنجش گرایش به مرکز است. میانه عددی است که یک جمعیت آماری و یا یک توزیع احتمالی را به دو قسمت مساوی تقسیم میکند. اگر تعداد جمعیت آماری زوج باشد، میانه با میانگین دو عضو جمعیت که در وسط جمعیت آماری قرار دارند، محاسبه میشود.
مثال
میانه یک جمعیت آماری متناهی را میتوان با مرتب کردن آن و انتخاب عددی که در وسط قرار میگیرد تعیین کرد. در صورتی که جمعیت برابر با عددی زوج باشد آنگاه میانه برابر است با میانگین دو عددی که در وسط قرار میگیرند. به طور مثال اگر اعداد a, b, c به ترتیب باشند آنگاه میانه، M، برابر است با: M = b و همینطور برای اعداد a, b, c, d میانه برابر است با: M = (b + c) / 2 میانه در هرسری از دادهها منحصر به فرد است . """

حالا سوال شما گفته که ۳عدد داریم، پس میانه عددی هست که بین دو عدد دیگه قرار میگیره.(تعداد اعداد فرد هست).
برای تعیین میانه، باید به نحوی بتونیم عدد بین کوچکترین و بزرگترین رو پیدا کنیم. از مقایسه کننده ها می تونیم استفاده کنیم. در نهایت عددی که بعنوان نتیجه بدست میاد رو به یک ۷segment متصل می کنیم.
با نرم افزار proteus profisional میشه مدار رو طراحی کرد.



موضوع‌های مرتبط با این موضوع...
موضوع: نویسنده پاسخ: بازدید: آخرین ارسال
  مدار منطقی طراحی شمارنده bcd ,با فلیپ فلاپ d Yousefi.parisa70@gmail.com ۰ ۲,۶۳۵ ۱۸ مرداد ۱۳۹۷ ۱۱:۵۴ ق.ظ
آخرین ارسال: Yousefi.parisa70@gmail.com
  مبدل BCD به Excess_3 Alirezaj ۳ ۶,۴۶۴ ۱۱ بهمن ۱۳۹۵ ۱۰:۴۸ ق.ظ
آخرین ارسال: Alirezaj
  تبدیل BCD به Binary و برعکس mehdi1902 ۵ ۱۲,۶۴۱ ۲۵ آبان ۱۳۹۵ ۱۲:۴۸ ب.ظ
آخرین ارسال: d_felfelak
  تعداد جملات تعریف نشده در یک جمع کننده BCD peace2013 ۱ ۱,۹۱۴ ۱۱ اردیبهشت ۱۳۹۵ ۰۱:۱۲ ق.ظ
آخرین ارسال: alidata
  در باره جمع کننده bcd jamshid1 ۱ ۲,۱۱۰ ۲۹ آبان ۱۳۹۴ ۱۰:۱۸ ق.ظ
آخرین ارسال: gilasss
  [درخواست راهنمایی] حل سوال تاخیر جمع کننده BCD moinm2 ۲ ۳,۲۱۱ ۱۴ تیر ۱۳۹۴ ۱۱:۴۷ ق.ظ
آخرین ارسال: مینا خانوم
  کنکور ۷۸ - جمع کننده BCD Ametrine ۳ ۳,۶۴۲ ۰۲ مهر ۱۳۹۳ ۰۹:۳۱ ق.ظ
آخرین ارسال: m@hboobe
  چند عدد دهدهی به صورت BCD Doctorwho ۲ ۱,۶۰۳ ۲۸ مرداد ۱۳۹۳ ۱۰:۳۱ ب.ظ
آخرین ارسال: m@hboobe
  جدیدترین bcd adder ها isaaczhr ۱ ۱,۶۲۷ ۲۷ آبان ۱۳۹۲ ۰۹:۴۸ ب.ظ
آخرین ارسال: atharrashno
  کد BCD نوع Reflexive چیست ؟ Morris ۶ ۷,۱۵۰ ۲۸ تیر ۱۳۹۲ ۰۹:۵۵ ب.ظ
آخرین ارسال: Morris

پرش به انجمن:

Can I see some ID?

به خاطر سپاری رمز Cancel

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. رمزت رو فراموش کردی؟ اینجا به یادت میاریم! close

رمزت رو فراموش کردی؟

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. close