زمان کنونی: ۰۸ اردیبهشت ۱۴۰۳, ۰۹:۳۷ ب.ظ مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن می‌توانید عضو شوید.
گزینه‌های شما (ورودثبت نام)

چگونگی محاسبه عدد به توان منفی در vhdl

ارسال:
  

The BesT پرسیده:

چگونگی محاسبه عدد به توان منفی در vhdl

با سلام.

دوستان میخواستم بدونم روشی که بتوانیم عددی دهدهی را در توان منفی ضرب کنیم(مثلا ۱۰ به توان -۸) در VHDL چگونه است؟

چون این کار که انجام میدهیم در ضرب منفی خطا میدهد و ضرب منفی را به عدد اعشاری تبدیل کردیم.و مجدد برای ضرب در عدد اعشاری خطا ایجاد میشود.

ممنون Heart
نقل قول این ارسال در یک پاسخ

۰
ارسال:
  

Behnam‌ پاسخ داده:

RE: چگونگی محاسبه عدد به توان منفی در vhdl

این چطور بچه مثبت؟ (یوزرتون شبیه یوزر Bache Mosbat بود اشتباه گرفتم :دی)

کد:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.MATH_REAL.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use  IEEE.NUMERIC_STD.all;

entity float is
  port(
    input1 : in std_logic_vector(7 downto 0);
    output1 : out real
    );
end float;

architecture float of float is
  signal myint : real;
  begin
    myint <= REAL(TO_INTEGER(unsigned(input1)));
    output1 <= (1.0/(10 ** (2))) * myint;
  end float;
نقل قول این ارسال در یک پاسخ

۰
ارسال:
  

Behnam‌ پاسخ داده:

RE: چگونگی محاسبه عدد به توان منفی در vhdl

(۱۸ تیر ۱۳۹۴ ۰۹:۱۷ ب.ظ)The BesT نوشته شده توسط:  با سلام.
دوستان میخواستم بدونم روشی که بتوانیم عددی دهدهی را در توان منفی ضرب کنیم(مثلا ۱۰ به توان -۸) در VHDL چگونه است؟
چون این کار که انجام میدهیم در ضرب منفی خطا میدهد و ضرب منفی را به عدد اعشاری تبدیل کردیم.و مجدد برای ضرب در عدد اعشاری خطا ایجاد میشود.
ممنون Heart
من دیگه با VHDL نمی‌نویسم چون سر و ته‌اش مشخص نیست که به چی سنتز میشه اما اگه توان رو به صورت کسر انجام بدید کارتون راه نمیفته؟ مثلاً ۱۰ به توان منفی ۸ رو بنویسید "یک تقسیم بر ۱۰ به توان ۸"؟ برای نگه داشتنش هم فکر کنم از نوع داده‌ی real باید استفاده کنید.
نقل قول این ارسال در یک پاسخ

ارسال:
  

The BesT پاسخ داده:

RE: چگونگی محاسبه عدد به توان منفی در vhdl

(۱۸ تیر ۱۳۹۴ ۰۹:۴۳ ب.ظ)behnam5670 نوشته شده توسط:  
(18 تیر ۱۳۹۴ ۰۹:۱۷ ب.ظ)The BesT نوشته شده توسط:  با سلام.
دوستان میخواستم بدونم روشی که بتوانیم عددی دهدهی را در توان منفی ضرب کنیم(مثلا ۱۰ به توان -۸) در VHDL چگونه است؟
چون این کار که انجام میدهیم در ضرب منفی خطا میدهد و ضرب منفی را به عدد اعشاری تبدیل کردیم.و مجدد برای ضرب در عدد اعشاری خطا ایجاد میشود.
ممنون Heart
من دیگه با VHDL نمی‌نویسم چون سر و ته‌اش مشخص نیست که به چی سنتز میشه اما اگه توان رو به صورت کسر انجام بدید کارتون راه نمیفته؟ مثلاً ۱۰ به توان منفی ۸ رو بنویسید "یک تقسیم بر ۱۰ به توان ۸"؟ برای نگه داشتنش هم فکر کنم از نوع داده‌ی real باید استفاده کنید.

سلام.ممنون از پاسختون
وقتی تقسیم تقسیم کنیم.عدد اعشاری بدست می آید و اعشاری را هم نمیخوند.
خطایی هم که وجود دارد در عکس میتونید مشاهده کنید
[تصویر:  372641_rsb3_img-20150708-wa0015.jpg]
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ



موضوع‌های مرتبط با این موضوع...
موضوع: نویسنده پاسخ: بازدید: آخرین ارسال
  محاسبه ارتفاع درخت.... baharkhanoom ۳ ۷,۵۳۸ ۰۹ اردیبهشت ۱۳۹۹ ۰۶:۴۸ ب.ظ
آخرین ارسال: mohsentafresh
  چگونگی پرداخت هزینه ثبت نام تیزهوشان ۹۹-۱۴۰۰ edumoshaver1 ۰ ۱,۸۷۹ ۱۲ اسفند ۱۳۹۸ ۰۵:۰۲ ب.ظ
آخرین ارسال: edumoshaver1
  تعداد روش های نوشتن عدد n ss311 ۲ ۳,۰۲۶ ۱۳ بهمن ۱۳۹۸ ۰۵:۲۷ ب.ظ
آخرین ارسال: ss311
  نحوه محاسبه دفیق لگاریتم بدون ماشین حساب mcse2010 ۲ ۸۰,۱۷۳ ۲۸ مهر ۱۳۹۸ ۰۹:۳۸ ق.ظ
آخرین ارسال: chemical_darton29
  محاسبه تراز معدل موثر از رشته آی تی یا علوم کامپیوتر به مهندسی کامپیوتر یا بالعکس gnulinux ۰ ۲,۳۲۷ ۲۱ شهریور ۱۳۹۸ ۰۸:۳۷ ق.ظ
آخرین ارسال: gnulinux
Question یافتن دو عدد پیچیدگی زمانی O(n) porseshgar ۲ ۳,۵۵۶ ۱۵ بهمن ۱۳۹۷ ۱۲:۱۶ ب.ظ
آخرین ارسال: porseshgar
Sad پیدا کردن xای که حاصل جمع دو عدد Sanazzz ۳ ۳,۲۱۱ ۰۹ بهمن ۱۳۹۷ ۰۳:۰۴ ق.ظ
آخرین ارسال: Sanazzz
  درس طراحی سیستم های کم توان (low power design) ارشد معماری کامپیوتر marmar71 ۲ ۳,۴۹۴ ۲۹ آذر ۱۳۹۷ ۰۶:۴۳ ب.ظ
آخرین ارسال: marmar71
  تقسیم برای محاسبه کد افزونه چرخشی (CRC) Sanazzz ۴ ۶,۲۱۸ ۲۰ آذر ۱۳۹۷ ۰۱:۱۸ ب.ظ
آخرین ارسال: Sanazzz
  چگونگی دانلود مقاله از سیویلیکا؟ sun-shine ۱۳ ۳۶,۸۱۲ ۲۴ آبان ۱۳۹۷ ۱۱:۱۳ ق.ظ
آخرین ارسال: moghim1349

پرش به انجمن:

Can I see some ID?

به خاطر سپاری رمز Cancel

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. رمزت رو فراموش کردی؟ اینجا به یادت میاریم! close

رمزت رو فراموش کردی؟

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. close