زمان کنونی: ۰۸ اردیبهشت ۱۴۰۳, ۱۰:۵۶ ب.ظ مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن می‌توانید عضو شوید.
گزینه‌های شما (ورودثبت نام)

تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl

ارسال:
  

The BesT پرسیده:

تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl

سلام.
برنامه ای که نوشته شده، برای تبدیل عدد ممیزدار باینری به عدد اعشاری است که در یک حلقه for از سمت چپ ترین بیت شروع به ضرب ان در ارززشش میشه.یعنی از سمت چپ هر عدد باید در ارزشش ضرب شود و با مقدار قبلی جمع شود.ولی خطا میدهد. ؟؟ایراد چی هست؟


[تصویر:  373102_2bcx_img-20150712-wa0014.jpg]
نقل قول این ارسال در یک پاسخ

۱
ارسال:
  

Behnam‌ پاسخ داده:

RE: تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl

(۲۱ تیر ۱۳۹۴ ۰۵:۱۹ ب.ظ)The BesT نوشته شده توسط:  سلام.
برنامه ای که نوشته شده، برای تبدیل عدد ممیزدار باینری به عدد اعشاری است که در یک حلقه for از سمت چپ ترین بیت شروع به ضرب ان در ارززشش میشه.یعنی از سمت چپ هر عدد باید در ارزشش ضرب شود و با مقدار قبلی جمع شود.ولی خطا میدهد. ؟؟ایراد چی هست؟


[تصویر:  373102_2bcx_img-20150712-wa0014.jpg]

سلام
کاربرد generate اینجا نیست که.

نقل قول این ارسال در یک پاسخ

ارسال:
  

The BesT پاسخ داده:

RE: تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl

(۲۱ تیر ۱۳۹۴ ۱۱:۳۷ ب.ظ)behnam5670 نوشته شده توسط:  
(21 تیر ۱۳۹۴ ۰۵:۱۹ ب.ظ)The BesT نوشته شده توسط:  سلام.
برنامه ای که نوشته شده، برای تبدیل عدد ممیزدار باینری به عدد اعشاری است که در یک حلقه for از سمت چپ ترین بیت شروع به ضرب ان در ارززشش میشه.یعنی از سمت چپ هر عدد باید در ارزشش ضرب شود و با مقدار قبلی جمع شود.ولی خطا میدهد. ؟؟ایراد چی هست؟

سلام
کاربرد generate اینجا نیست که.
ممنون ولی مگر توان دو نباید i منهای ۸ باشد؟ اما وقتی ورودی داده میشود خطا میدهد.و در برنامه خروجی راreal تعریف کردیم ولی باز هم خطا میدهد
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ

ارسال:
  

Behnam‌ پاسخ داده:

RE: تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl

فکر کنم همچین چیزی مد نظرتون بود:

کد:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use ieee.math_real.all;

entity bin_to_int is
  port(
    input : in STD_LOGIC_VECTOR(7 downto 0);
    output : out real
  );
end bin_to_int;

architecture bin_to_int of bin_to_int is
begin
  process (input)
    variable temp : real;
  begin
    temp := 0.0;
    for i in input'range loop
      if(input(i) = '1') then
        temp := temp + real(2**(i-8)); --temp := temp + real(1/(2**(8-i)));
      end if;
    end loop;
    output <= temp;
  end process;
end bin_to_int;

تنها مشکل احتمالی میتونه این باشه که نوع داده‌ی real از توان منفی پشتیبانی نکنه (البته در زمان کامپایل متوجه نخواهد شد) که در اون صورت به شکلی که مقابلش کامنت کردم بنویسید، و یا از نوع داده‌ی float استفاده کنید که لایبری‌ و پکیج‌اش بستگی به ورژن VHDL و ابزار شبیه‌سازی‌تون داره.
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ



موضوع‌های مرتبط با این موضوع...
موضوع: نویسنده پاسخ: بازدید: آخرین ارسال
Exclamation سلام لطفاً یکی به من بگه مرتبه زمانی ها چطوری به log تبدیل میشن فرمول داره؟؟ Azadam ۶ ۳,۹۹۹ ۰۶ دى ۱۴۰۰ ۰۹:۰۲ ق.ظ
آخرین ارسال: Soldier's life
  تعداد روش های نوشتن عدد n ss311 ۲ ۳,۰۲۶ ۱۳ بهمن ۱۳۹۸ ۰۵:۲۷ ب.ظ
آخرین ارسال: ss311
  تبدیل به pdf homeless ۲ ۳,۰۷۷ ۳۱ مرداد ۱۳۹۸ ۰۹:۴۱ ب.ظ
آخرین ارسال: homeless
  کمک در تبدیل به فرم گریباخ hadizd ۳ ۳,۵۸۱ ۲۶ خرداد ۱۳۹۸ ۰۴:۲۸ ب.ظ
آخرین ارسال: hadizd
Question یافتن دو عدد پیچیدگی زمانی O(n) porseshgar ۲ ۳,۵۵۶ ۱۵ بهمن ۱۳۹۷ ۱۲:۱۶ ب.ظ
آخرین ارسال: porseshgar
Sad پیدا کردن xای که حاصل جمع دو عدد Sanazzz ۳ ۳,۲۱۱ ۰۹ بهمن ۱۳۹۷ ۰۳:۰۴ ق.ظ
آخرین ارسال: Sanazzz
  Vhdl Parisa.abdzadeh90@gmailcom ۰ ۱,۸۳۱ ۱۴ خرداد ۱۳۹۷ ۰۴:۱۴ ب.ظ
آخرین ارسال: Parisa.abdzadeh90@gmailcom
  مهندسی کامپیوتر ۹۵ - تبدیل لاپلاس در مدار mahshid_dd ۰ ۲,۳۳۲ ۰۱ اردیبهشت ۱۳۹۷ ۰۸:۲۹ ب.ظ
آخرین ارسال: mahshid_dd
Information فتوشاپ و تبدیل عکس به سیاه و سفید setareh238 ۰ ۲,۰۳۷ ۲۷ اسفند ۱۳۹۶ ۱۲:۵۶ ب.ظ
آخرین ارسال: setareh238
  تبدیل قالب سایت به اچ تی ام ال sanaz98 ۱ ۲,۵۶۴ ۱۸ بهمن ۱۳۹۶ ۱۲:۰۶ ب.ظ
آخرین ارسال: ali.rafami

پرش به انجمن:

Can I see some ID?

به خاطر سپاری رمز Cancel

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. رمزت رو فراموش کردی؟ اینجا به یادت میاریم! close

رمزت رو فراموش کردی؟

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. close